mse-em-board:buttons

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

mse-em-board:buttons [2020/04/17 15:30] – external edit 127.0.0.1mse-em-board:buttons [2020/09/29 15:35] (current) adm-hga3
Line 3: Line 3:
 The MSE-Embedded platform contains one 8-position DIP-switche and twelve buttons as shown in the below figure. The MSE-Embedded platform contains one 8-position DIP-switche and twelve buttons as shown in the below figure.
  
-{{ :huce:microlab:projects:internal:mse-em-board:mse-em-board_wav_dipswitch.jpg?direct&400 |}}+{{ mse-em-board:mse-em-board_wav_dipswitch.jpg?direct&400 |}}
  
 Each of these switches and buttons is connected to an individual FPGA-pin. In case a switch is activated it gives 0V (logic 1) and if it is not activated it gives VCC (logic 0) on the FPGA-pin (the switches are therefore active low). Furthermore, all switches do not include a debouncing circuit and are connected to clock-inputs of the FPGA. Each of these switches and buttons is connected to an individual FPGA-pin. In case a switch is activated it gives 0V (logic 1) and if it is not activated it gives VCC (logic 0) on the FPGA-pin (the switches are therefore active low). Furthermore, all switches do not include a debouncing circuit and are connected to clock-inputs of the FPGA.
Line 9: Line 9:
 ===== DIP-switch(es) information ===== ===== DIP-switch(es) information =====
  
-The below table indicates at which FPGA-pin each of the switches of the DIP-switches is connected. And {{:huce:microlab:projects:internal:mse-em-board:dip_switches.tcl| here}} you find an example tcl script that can be used for pin-assignment in Quartus.+The below table indicates at which FPGA-pin each of the switches of the DIP-switches is connected. And {{mse-em-board:dip_switches.tcl| here}} you find an example tcl script that can be used for pin-assignment in Quartus.
  
 <html> <html>
Line 25: Line 25:
 ===== Button(s) information ===== ===== Button(s) information =====
  
-The below table indicates at which FPGA-pin each of the buttons is connected. And {{:huce:microlab:projects:internal:mse-em-board:buttons.tcl| here}} you find an example tcl script that can be used for pin-assignment in Quartus.+The below table indicates at which FPGA-pin each of the buttons is connected. And {{mse-em-board:buttons.tcl| here}} you find an example tcl script that can be used for pin-assignment in Quartus.
  
 <html> <html>
  • /opt/bitnami/dokuwiki/data/attic/mse-em-board/buttons.1587137440.txt.gz
  • Last modified: 2020/04/17 15:30
  • by 127.0.0.1